Розробка двійкового суматора на мові VHDL

Автор работы: Пользователь скрыл имя, 13 Марта 2014 в 19:27, курсовая работа

Краткое описание

У даній роботі показані основні принципи формування логічної послідовності двійкового суматора. Синтезовано суматор за допомогою пакету Decomposer, описано суматор на мові VHDL. А також було використано систему наскрізного проектування - програмні засоби WebPACK ISE.

Прикрепленные файлы: 1 файл

Автоматизація компютерних систем.docx

— 189.62 Кб (Скачать документ)

 

 

 

Курсова робота

з дисципліни

" Aвтоматизація проектування комп'ютерних систем "

На тему:

«Розробка двійкового суматора на мові VHDL»

 

 

 

 

 

 

 

 

 

 

 

 

Львів 2014

 

Анотація

У даній роботі показані основні принципи формування логічної послідовності двійкового суматора. Синтезовано суматор за допомогою пакету Decomposer, описано суматор на мові VHDL. А також  було використано систему наскрізного проектування - програмні засоби WebPACK ISE.

 

Технічне завдання

В курсовій роботі розробити двійковий суматор на мові VHDL, синтезувати суматор за допомогою програмного пакету Decomposer, який призначений для вирішення завдань автоматизованого логічного синтезу цифрових схем з використанням методів багаторівневої декомпозиції та їх реалізації на мікросхемах програмованої логіки.

Завдання виконати в такій послідовності:

  1. Сформувати логічну послідовність;
  2. Синтезувати  суматор;
  3. Описати суматор на мові VHDL;
  4. Зробити порівняльний аналіз використовуваних ресурсів для різних варіантів реалізації схеми;
  5. Провести покриття блоків.

 

Зміст

 

Вступ

В даний час відомо безліч методів синтезу комбінаційних логічних схем (КЛС). Практично всі вони включають наступні етапи:

1. Формальний опис поведінки КЛС за допомогою таблиці істинності;

2. Отримання досконалих диз'юнктивних нормальних форм (ДДНФ) для всіх власних функцій КЛС;

3. Мінімізація ДДНФ з використанням аналітичних (Квайна-Мак-Класки) або графічних (карт Карно, діаграм Вейча) методів;

4. Перехід за допомогою  формальної процедури від системи  мінімізованих формул до графічного  зображення схеми на елементах  «І », «АБО» і « НЕ».

Такий підхід склався історично, оскільки цифрові пристрої спочатку реалізовувалися релейно-контактними схемами, а потім - схемами на безконтактних логічних елементах (лампових, магнітних, магнітонапів- провідникових і напівпровідникових). На цьому етапі набули широкого поширення алгебраїчні методи в класі диз'юнктивних нормальних форм. Справа в тому, що як контактні, так і перші безконтактні електронні логічні схеми реалізовували класичний базис «І», «АБО» і « НЕ», а диз'юнктивні нормальні форми являють логічні функції саме в цьому базисі.

При великому числі входів (більше п'яти-шести) виконання цих операцій стає скрутним навіть для однієї логічної функції.

Пізніше на зміну контактним і безконтактним елементам «І», «АБО» і «НЕ» прийшли інтегральні логічні схеми, які в одному кристалі напівпровідника реалізують складну логічну структуру. Базисом інтегральних схем стали функції Шеффера ( «І-НЕ» ), Пірса ( «АБО-НЕ» ) і логічна функція «І-АБО-НЕ». Деякі із змінених методів і раніше використовували мінімізацію диз'юнктивних нормальних форм з подальшим перетворенням знайдених мінімальних формул в логічні формули в базисі    «І-НЕ» або «АБО-НЕ». Інші методи використовують уявлення власних функцій синтезуючої схеми у вигляді досконалих нормальних форм у зазначених базисах і мінімізацію в цих базисах. Але тепер вже не стало однозначної відповідності між числом входжень букв в булевих формулах і числом логічних елементів, тому мінімізація формул не завжди приводить до спрощення логічних схем.

Слід зауважити, що розробка пристроїв з використанням програмованих ВІС неможлива без застосування засобів і систем автоматизованого проектування ( САПР). «Ручна» розробка пристроїв, що містять сотні і тисячі вентилів є дуже трудомісткою і займає досить тривалий час. Особливо значущими стають процедури налагодження та верифікації проектних рішень .

Бурхливий розвиток сучасної інтегральної мікросхемотехніки, особливо програмованих логічних інтегральних схем ( ПЛІС ), призвело до того, що алгебраїчна методологія логічного проектування перестала встигати за технологічним прогресом. Мінливість базису вимагає розробки все нових методів алгебри. Водночас прив'язка до конкретного логічного базису сильно обмежує можливості використання формальних методів синтезу. Крім того, в якості конфігуруючих логічних блоків (КЛБ) сучасних ПЛІС типу FPGA      (Field Programmable Gate Arrays) використовуються логічні модулі на основі мультиплексорів або програмованих ПЗП (LUT-Look-Up Tables ). У цьому випадку при проектуванні КЛС виникає завдання поділу складної схеми на більш прості частини, які можуть бути реалізовані на зазначених типах КЛБ.

 

1. Теоретична частина

1.1 Логічне проектування КЛС.

Комбінаційною логічною схемою називається пристрій, зображений на рис. 1.

Рис.1. Комбінаційна логічна схема

Вихідні функції називаються власними функціями комбінаційних логічних схем (КЛС). Зображеної на рис. 1 КЛС відповідає система з власних функцій від аргументів.

 

1.2 Логічні послідовності

Традиційним є завдання власних функцій у вигляді таблиці істинності чи алгебраїчних виразів. Алгебраїчні формули не є інваріантними стосовно базису, тому від такого способу слід відмовитися. Таблиці істинності інваріантні по відношенню до базису, але досить громіздкі і незручні. Якщо домовитися, що набори станів входів завжди перераховуються в порядку зростання їх числових еквівалентів, то їх з таблиці можна виключити. Залишиться лише вихідний стовпець, який зручніше записувати у вигляді рядка. Отримана таким чином логічна (числова) послідовність являє собою компактний спосіб завдання логічних функцій.

Процес логічного синтезу КЛС включає виконання наступних етапів:

1 ) абстрактний синтез ;

2 ) структурний синтез ;

3 ) структурний аналіз .

На етапі абстрактного синтезу вирішується завдання формального опису функціонування проектованої схеми. В якості вихідної інформації, як правило, використовується словесний опис алгоритму роботи схеми. У результаті вирішення цього завдання виходять власні функції КЛС. Це завдання легше всього вирішувати за допомогою таблиці істинності з якої потім виходить логічна послідовність.

На етапі структурного синтезу задані логічні елементи, з яких будується схема, і система власних функцій (тобто задача абстрактного синтезу вирішена). Необхідно знайти схему з'єднання логічних елементів для реалізації заданих власних функцій.

Рішення завдання структурного синтезу розбивається на три етапи:

1 ) абстрактно-структурний  синтез. На цьому етапі складна  схема ділиться на простіші  частини, тобто проводиться декомпозиція. Критерій поділу - зменшення цілому  опису схеми .

2 ) деталізація. На цьому  етапі проводиться розподіл схеми  до блоків, складність яких порівнянна  зі складністю заданих для  покриття елементів. При цьому  не потрібно зменшення складності  схеми. Якщо складність покриваючого елемента більше складності покриваючого блоку, то за допомогою процедури аналізу об'єднуються кілька блоків в один. Покриття виробляється лише після вирівнювання складнощів блоку і логічного елемента.

3 ) покриття абстрактної  схеми заданими логічними елементами. На даному етапі проводиться  формальне заміщення одержаних при деталізації блоків логічними елементами заданого типу.

В результаті виконання цих етапів виходить схема, що складається із заданих логічних елементів. Завдання структурного синтезу завжди має безліч рішень (тобто схеми з'єднання елементів для реалізації заданих власних функцій можуть бути абсолютно різними). Тому процедуру структурного синтезу слід проводити таким чином, щоб отримати схему, що містить мінімальну кількість логічних блоків (елементів).

Оскільки при формальному покритті не беруться до уваги ніякі інші блоки крім покривається, синтезована схема, як правило, виявляється надлишковою. Це викликає необхідність проведення оптимізації отриманої схеми.

 

1.3. Програмне забезпечення

1.3.1 Decomposer

Бурхливий розвиток сучасної інтегральної мікросхемотехніки, особливо програмованих логічних інтегральних схем ( ПЛІС ), призвело до того, що алгебраїчна методологія логічного проектування перестала встигати за технологічним прогресом. Мінливість базису вимагає розробки все нових методів алгебри. Водночас прив'язка до конкретного логічного базису сильно обмежує можливості використання формальних методів синтезу. Крім того, в якості конфігуруючих логічних блоків ( КЛБ ) сучасних ПЛІС типу FPGA      (Field Programmable Gate Arrays) використовуються логічні модулі на основі мультиплексорів або програмованих ПЗП (LUT-Look-Up Tables). У цьому випадку при проектуванні КЛС виникає завдання поділу складної схеми на більш прості частини, які можуть бути реалізовані на зазначених типах КЛБ.

Розроблений в Калужській філії МДТУ ім. Н.Е. Баумана програмний пакет Decomposer призначений для вирішення завдань автоматизованого логічного синтезу цифрових схем з використанням методів багаторівневої декомпозиції та їх реалізації на мікросхемах програмованої логіки.

Можливості САПР:

- проведення паралельної і послідовної декомпозиції;

- деталізація схеми до рівня двовходових блоків;

- аналіз декомпозованої схеми.

Крім того, пакет Decomposer дозволяє отримати опис синтезованої схеми мовою VHDL, що дає можливість інтегруватися в спеціалізовані пакети програм (наприклад, WebPACK) з метою отримання файлів для «прошивки» мікросхем програмованої логіки та практичної реалізації спроектованих цифрових пристроїв.

Основні властивості VHDL :

Одне з головних властивостей - це здатність описувати апаратуру і її роботу в часі. Тому основними в VHDL є такі близькі розробнику поняття, як об'єкт проекту, інтерфейс, порт, архітектура, сигнал, атрибути сигналу, оператори паралельного присвоєння, процес та ін.

Сигнал в VHDL трактується дуже широко і може бути скалярним (цілим, речовим, бітовим і т. д.) або векторним (шинним), булевим або багатозначним. Багатозначна (реально 5- , 9- або 12- значна) логіка дає можливість виявляти за допомогою моделювання такі явища в схемах, як гонки, невизначеності на виходах схем (наприклад, асинхронного RS-тригера при заборонених значеннях вхідних сигналів), вирішувати завдання мультиплексування шини.

Рис. 2. Головне вікно програми  Decomposer

 

1.3.2 Пакет WebPACK ISE

Програмовані логічні інтегральні схеми (ПЛІС) все більш широко використовуються для створення цифрових систем різного призначення. Фірма Xilinx®, будучи провідним світовим виробником ПЛІС, надає розробникам широкий спектр кристалів з різною технологією виробництва, ступенем інтеграції, архітектурою, швидкодією, споживаною потужністю і напругою живлення, що випускаються в різних типах корпусів і в декількох варіантах виконання, включаючи промислове, військове і радіаційно-стійке.

Кристали, що випускаються фірмою Xilinx, повною мірою реалізують переваги ПЛІС у порівнянні з «жорсткою логікою» :

    • високу швидкодію ;
    • можливість перепрограмування безпосередньо в системі;
    • високий ступінь інтеграції, що дозволяє розмістити цифровий пристрій в одному кристалі і тим самим знизити час і витрати на трасування і виробництво друкованих плат;
    • скорочення часу циклу розробки і виробництва пристрою;
    • наявність потужних інструментів САПР, що дозволяють усунути можливі помилки в процесі проектування пристрою;
    • порівняно низька вартість (у перерахунку на один логічний вентиль);
    • можливість подальшої реалізації проектів ПЛІС для серійного виробництва у вигляді замовних НВІС, що дозволяє значно знизити їх собівартість.

До недавнього часу, незважаючи на всі достоїнства ПЛІС Xilinx, існувала обставина, яка стримувала їх застосування (особливо недорогих кристалів при розробці несерійних пристроїв) - необхідність додаткових витрат на придбання пакета програмних засобів проектування та програмування. Щоб усунути цю перешкоду, фірма Xilinx надала розробникам можливість використовувати безкоштовне програмне забезпечення - пакет WebPACK ™ ISE ™ ( Integrated Synthesis Environment ). Мета цієї публікації - познайомити розробників цифрових пристроїв з можливостями САПР WebPACK ISE і основами методики виконання проектів в середовищі даного пакета.

 

1.3.2.1 Основні характеристики  пакета WebPACK ISE

Програмні засоби WebPACK ISE являють собою систему наскрізного проектування, яка реалізує всі етапи створення цифрового пристрою на базі ПЛІС, включаючи програмування кристала: розробка проекту, синтез, моделювання, трасування та завантаження в кристал. Версія 3.3 WP8.0 САПР WebPACK ISE призначена для проектування цифрових пристроїв на базі ПЛІС виробництва Xilinx, які відносяться як сімейства CPLD: XC9500, XC9500XL, XC9500XV, XCR22V10, XCR3000 (XPLA1_3, XPLA2), XCR3000XL (XPLA3), XCR5000 (XPLA1_5), так і FPGA: Spartan ™ - II, Virtex ™ -E (тільки кристал XCV300E), Virtex - II (кристали 2V40, 2V80 і 2V250).

Відмінні особливості пакету:

    • підтримка різних методів опису проектованих пристроїв (графічних і текстових);
    • можливість використання проектів, підготовлених в інших системах проектування, у тому числі в середовищі пакета Altera MAX + PlusII ™;
    • наявність схемотехнічного редактора, укомплектованого набором обширених бібліотек;
    • інтелектуальні засоби створення HDL (Hardware Description Language) - описів, що формують шаблони на підставі інформації, наданої користувачем, для мов опису апаратури VHDL, Verilog ™ і ABEL ™ HDL;
    • високоефективні засоби синтезу HDL-проектів, що підтримують мови VHDL, Verilog і ABEL HDL, з можливістю оптимізації;
    • розвинені засоби верифікації проекту, що дозволяють скоротити повний час розробки пристрою за рахунок виявлення можливих помилок на більш ранніх стадіях проектування і скорочення тривалості та кількості можливих ітерацій;
    • автоматичні засоби трасування проекту в кристали різних сімейств ПЛІС Xilinx з урахуванням оптимізації проекту за різними параметрами;
    • засоби програмування кристалів сімейств ПЛІС Xilinx, виконаних за різною технологією (CPLD і FPGA), що підтримують кілька типів завантажувальних кабелів JTAG - інтерфейсу;
    • зручний для розробника користувача інтерфейс і наявність в кожному модулі пакета довідкової системи, що скорочують час освоєння САПР;
    • наявність інтегрованого з пакетом САПР набору інструментів і утиліт інших фірм, що надають додаткові зручності в процесі проектування, що включає утиліту генерації тестових сигналів HDL Bencher ™, програму моделювання ModelSim XE Starter ™ і редактор діаграм станів StateCAD™.

Информация о работе Розробка двійкового суматора на мові VHDL